References

[ALID94] M. Alidina, J. Monteiro, S. Devadas, A. Ghosh, M. Papaefthymiou, "Precomputation-Based Sequential Logic Optimization for Low Power", Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, San Jose, California, 1994, pages 74-81.

[ARM95] Advanced RISC Machines Ltd, "An Introduction to Thumb", 1995, Cambridge, U.K.

[BENI95] L. Benini, G. De Micheli, "Transformation and synthesis of FSMs for low-power gated-clock implementation", Proceedings of the 1995 International Symposium on Low Power Design, Dana Point, California, pages 21-26.

[BERK91] K. van Berkel, J. Kessels, M. Roncken, R. Saeijs, F. Schalij, "The VLSI programming language Tangram and its translation into handshake circuits", Proceedings of the European Conference on Design Automation, Amsterdam, 1991, pages 384-389.

[BERK95] K. van Berkel, R. Burgess, J. Kessels, A. Peeters, M. Ronken, F. Schalij, R. van de Weil, "A Single-Rail Re-implementation of a DCC Error Detector Using a Generic Standard-Cell Library", Proceedings of the Second Working Conference on Asynchronous Design Methodologies, London, U.K., 1995, pages 72-79.

[BRUN93] E. Brunvand, "The NSR Processor", Proceeding of the 26th Annual Hawaii International Conference on System Sciences, pages 428-435, Maui, Hawaii, 1993.

[BUND94] J. Bunda, W. C. Athas, D. Fussell, "Evaluating Power Implications of CMOS Microprocessor Design Decisions", Proceedings of the 1994 International Workshop on Low Power Design, Napa, California, pages 147-152.

[CHAN94] A. P. Chandrakasan, A. Burnstein, R. W. Brodersen, "A Low-Power Chipset for a Portable Multimedia I/O Terminal", IEEE Journal of Solid State Circuits, Volume 29, Number 12, December 1994, pages 1415-1428.

[CHIE95] C.-H. Chien, M. A. Franklin, T. Pan, P. Prabhu, "ARAS: Asynchronous RISC Architectue Simulator", Proceedings of the Second Working Conference on Asynchronous Design Methodologies, London, U.K., 1995, pages 210-219.

[CHO,92] K.-R. Cho, K. Okura, K. Asada, "Design of a 32-bit Fully Asynchronous Microprocessor (FAM)", Proceedings of the 35th Midwest Symposium on Circuits and Systems, 1992.

[CORP93] H. Corporaal, "Evaluating Transport Triggered Architectures for scalar applications", Microprocessing and Microprogramming, No 38, pages 45-52, 1993. http://einstein.et.tudelft.nl/~heco/documents/documents.html

[CORR95] A. Correale, "Overview of the Power Minimization Techniques Employed in the IBM PowerPC 4xx Embedded Controllers", Proceedings of the 1995 International Symposium on Low Power Design, Dana Point, California, pages 75-80.

[COUV95] C. Ykman-Couvreur, B. Lin, "Optimised state assignment for asynchronous circuit synthesis", Proceedings of the Second Working Conference on Asynchronous Design Methodologies, London, U.K., 1995.

[DAVIA93] A. Davis, B. Coates, K. Stevens, "Automatic Synthesis of Fast Compact Asynchronous Control Circuits", Proceedings of the IFIP Working Conference on Asynchronous Design Methodologies, Manchester, U.K., 1993.

[DAVII93] I. David, R. Ginosar, M. Yoeli, "Self-Timed Architecture of a Reduced Instruction Set Computer", Proceedings of the IFIP Working Conference on Asynchronous Design Methodologies, Manchester, U.K., 1993.

[DAY95] P. Day, J. V. Woods, "Investigation into Micropipeline Latch Design Styles", IEEE Transactions on VLSI, Volume 3, Number 2, June 1995, pages 264-272.

[DEAN92] M. E. Dean, "Strip: A Self-Timed RISC Processor", Technical Report CSL-TR-92-543, Stanford University, 1992.

[ELST95] C. J. Elston, D. B. Christianson, P. A. Findlay, G. B. Steven, "Hades - Towards the Design of an Asynchronous Superscalar Processor", Proceedings of the Second Working Conference on Asynchronous Design Methodologies, London, U.K., 1995, pages 200-209.

[ENDE93] P. B. Endecott, "Processor Architectures for Power Efficiency and Asynchronous Implementation", M.Sc. thesis, University of Manchester, U.K., 1993. http://www.cs.man.ac.uk/amulet/publications/thesis/endecott93_msc.html

[FARN95] C. Farnsworth, "The AMULET Low Power Cell Library", Technical Report, University of Manchester, In Preparation, 1995?.

[FURB89] S. B. Furber, "VLSI RISC Architecture and Organization", Marcel Dekker, New York, 1989, ISBN 0-8247-8151-1.

[GARS93] J. D. Garside, "A CMOS VLSI Implementation of an Asynchronous ALU", Proceedings of the IFIP Working Conference on Asynchronous Design Methodologies, Manchester, U.K., 1993.

[GLEB95] A. L. Glebov, D. Blaauw, L. G. Jones, "Transistor reordering for low power CMOS gates using an SP-BDD representation", Proceedings of the 1995 International Symposium on Low Power Design, Dana Point, California, pages 161-166.

[GOOD85] J. R. Goodman, J.-T. Hsieh, K. Liou, A. R. Pleszkun, P. B. Schechter, H. C. Young, "PIPE: A VLSI Decoupled Architecture", Proceedings of The 12th Annual International Symposium on Computer Architecture, Boston, Massachusetts, 1985, pages 20-27.

[GWEN95] L. Gwennap, "P6 Underperforms on 16-Bit Software", Microprocessor Report, Volume 9, Number 10, July 31 1995, page 1.

[HENN90] J. L. Hennessy, D. A. Patterson, "Computer Architecture A Quantitative Approach", Morgan Kaufmann, Palo Alto, 1990, ISBN 1-55860-069-8.

[HOOG94] J. Hoogerbrugge, H. Corporaal, "Transport-Triggering vs. Operation-Triggering", International Conference on Compiler Construction, Edinburgh, April 1994. http://einstein.et.tudelft.nl/~heco/documents/documents.html

[JOHN91] M. Johnson, "Superscalar Microprocessor Design", Prentice Hall, Englewood Cliffs, 1991, ISBN 0-13-875634-1.

[KEAR95] D. Kearney, N. W. Bergmann, "Performance Evaluation of Asynchronous Logic Pipelines with Data Dependent Processing Delays", Proceedings of the Second Working Conference on Asynchronous Design Methodologies, London, U.K., 1995, pages 4-13.

[KESS95] J. Kessels, "VLSI Programming of a Low-Power Asynchronous Reed-Solomon Decoder for the DCC Player", Proceedings of the Second Working Conference on Asynchronous Design Methodologies, London, U.K., 1995, pages 44-52.

[KHOO95] K.-Y. Khoo, A. N. Willson, "Charge Recovery on a Databus", Proceedings of the 1995 International Symposium on Low Power Design, Dana Point, California, pages 185-189.

[KISH94] M. Kishinevsky, A. Kondratyev, A. Taubin, V. Varshavsky, "Concurrent Hardware - The Theory and Practice of Self-Timed Circuits", Wiley Series in Parallel Computing, 1994.

[MART89] A. J. Martin, S. M. Burns, T. K. Lee, D. Borkovic, P. J. Hazewindus, "The Design of an Asynchronous Microprocessor", Advance research in VLSI; Proceedings of the Decennial Caltech Conference on VLSI, MIT Press, pages 351-373, 1989; also as technical report Caltech-CS-TR-89-02, Computer Science Depatment, California Institute of Technology, 1989.

[MITC90] D. A. P. Mitchell, J. A. Thompson, G. A. Manson, G. R. Brookes, "Inside the Transputer", Blackwell Scientific Publications, 1990, ISBN 0-632-01689-2.

[MORT95] S. V. Morton, S. S. Appleton, M. J. Liebelt, "ECSTAC: A Fast Asynchronous Microprocessor", Proceedings of the Second Working Conference on Asynchronous Design Methodologies, London, U.K., 1995, pages 180-189.

[MYER95] C. J. Myers, P. A. Beerel, T. H.-Y. Meng, "Technology Mapping of Timed Circuits", Proceedings of the Second Working Conference on Asynchronous Design Methodologies, London, U.K., 1995, pages 138-147.

[NANY94] T. Nanya, Y. Ueno, H. Kagotani, M. Kuwako, A. Takamura, "TITAC: Design of a Quasi-Delay-Insensitive Microprocessor", IEEE Design and Test of Computers, Volume 11, No 2, 1994, pages 50-63.

[NIEL94] L. S. Nielsen, J. Spars[O/], "Low-power operation using self-timed circuits and adaptive scaling of the supply voltage", Proceedings of the 1994 International Workshop on Low Power Design, Napa, California, pages 99-104.

[OLSO94] E. Olson, S. M. Kang, "Low-Power State Assignment for Finite State Machines", Proceedings of the 1994 International Workshop on Low Power Design, Napa, California, pages 63-68.

[PAVE94] N. C. Paver, "The Design and Implementation of an Asynchronous Microprocessor", Ph.D. Thesis, Department of Computer Science, University of Manchester, U.K., 1994. http://www.cs.man.ac.uk/amulet/publications/thesis/paver94_phd.html

[POUN95] D. Pountain, "Transport-Triggered Architectures", Byte, February 1995, pages 151-152.

[RABA94] J. M. Rabaey, "Design Solutions and Challenges for Low Power Systems", Tutorial #2, International Conference on Computer Aided Design, 1994.

[RICH92] W. F. Richardson, E. Brunvand, "The NSR Processor Prototype", Technical Report UUCS-92-029, University of Utah, 1992. ftp://ftp.cs.utah.edu/techreports/1995/UUCS-92-029.ps.Z

[RICH95] W. F. Richardson, E. Brunvand, "Fred: An Architecture for a Self-Timed Decoupled Computer", Technical Report UUCS-95-008, University of Utah, 1995. ftp://ftp.cs.utah.edu/techreports/1995/UUCS-95-008.ps.Z

[SEIT80] C. Seitz, "System Timing", Chapter 7 of "Introduction to VLSI Systems" by C. Mead and L. Conway, Addison Wesley, ISBN 0-201-04358-0, 1980.

[SOLO94] P. M. Solomon, D. J. Frank, "The Case for Reversible Computation", Proceedings of the 1994 International Workshop on Low Power Design, Napa, California, pages 93-98.

[SPRO94] R. F. Sproull, I. E. Sutherland, C. E. Molnar, "Counterflow Pipeline Processor Architecture", IEEE Design and Test of Computers, Volume 11, No 3, 1994. Also as Sun Microsystems Laboratories Inc. Technical Report SMLI TR-94-25.

[SUN92] Sun Microsystems Inc., "The SuperSPARC Microprocessor", Technical white paper, 1992, Mountain View, California.

[SUTH89] I. E. Sutherland, "Micropipelines", Communications of the ACM, Volume 32, No. 6, June 1989, pages 720-738.

[SWAI95] O. Swai, "A Micropileine Visualisation Tool", M.Sc. Dissertation, University of Manchester, U.K., to be submitted, 1995.

[TURL95] J. L. Turley, "Hitachi SH3 Hits 100 MIPS", Microprocessor Report, Volume 9, Number 3, March 6 1995, pages 12-14.

[TURL95] J. L. Turley, "NEC Plunges into PDA Processor Market", Microprocessor Report, Volume 9, Number 4, March 27 1995, pages 12-13.

[USAM95] K. Usami, M. Horowitz, "Clustered Voltage Scaling Technique for Low-Power Design", Proceedings of the 1995 International Symposium on Low Power Design, Dana Point, California, pages 3-8.

[WEST93] N. H. E. Weste, K. Eshragian, "Principles of CMOS VLSI Design", Second Edition, Addison-Wesley, 1993, ISBN 0-201-53376-6.

[WULF88] W. A. Wulf, "The WM Computer Architecture", Computer Architecture News, Volume 16, No. 1, 1988, pages 70-84.