Advanced Processor Technologies Home
APT Advanced Processor Technologies Research Group

The Advanced Processor Technologies Research Group


SpiNNaker Overview

APT Group Papers

2015

  1. Alejandro Erickson, Abbas Eslami Kiasari, Javier Navaridas, Iain A. Stewart
    An Efficient Shortest-Path Routing Algorithm in the Data Centre Network DPillar
    Chapter - Combinatorial Optimization and Applications, Volume 9486 of the series Lecture Notes in Computer Science pp. 209-220 Date: 09 December 2015
    ISBN 978-3-319-26625-1, ISSN 0302-9743
    DOI-Link Abstract

  2. Mohsen Ghasempour, Jonathan Heathcote, Javier Navaridas, Luis A. Plana, Jim Garside and Mikel Luján
    Analysis of FPGA and Software Approaches to Simulate Unconventional Computer Architectures
    ReConFig 2015: 2015 International Conference on ReConFigurable Computing and FPGAs
    Dec. 7-9 2015, Mayan Riviera, Mexico
    ISBN 978-1-4673-9405-5, ISSN ********
    DOI-Link Abstract IEEE Copyright

  3. Abbas Eslami Kiasari, Javier Navaridas, Iain A. Stewart
    On Routing Algorithms for the DPillar Data Centre Networks
    Algorithms and Architectures for Parallel Processing: 15th International Conference, ICA3PP 2015, Zhangjiajie, China, November 18-20, 2015.
    Proceedings, Part IV 2015, Springer International Publishing, pp. 329--343
    ISBN 978-3-319-27140-8, ISSN ********
    DOI-Link Abstract

  4. Alexander D. Rast, Alan B. Stokes, Sergio Davies, Samantha V. Adams, Himanshu Akolkar, David R. Lester, Chiara Bartolozzi, Angelo Cangelosi and Steve Furber
    Transport-Independent Protocols for Universal AER Communications
    ICONIP (4) 2015: 675-684 in Neural Information Processing, Lecture Notes in Computer Science, Vol: 9492,
    Springer International Publishing, 18 Nov. 2015
    ISBN 978-3-319-26560-5
    DOI-Link

  5. Michael Hopkins, Steve Furber
    Accuracy and Efficiency in Fixed-Point Neural ODE Solvers
    Neural Computation, Vol.27, No. 10 MIT Press, Sept 2015
    EISSN 1530-888X, ISSN 0899-7667
    DOI-Link Abstract

  6. S. Werner, J. Navaridas and M. Luján.
    AMON: Advanced Mesh-like Optical NoC
    IEEE Hot Interconnects 2015, Symposium on High Performance Interconnects,
    August 26-27, 2015, Santa Clara, CA
    ISSN 1550-4794
    DOI-Link Abstract IEEE Copyright

  7. Andrey Rodchenko, Andy Nisbet, Antoniu Pop, Mikel Luján
    Effective Barrier Synchronization on Intel Xeon Phi Coprocessor
    21st International Conference on Parallel and Distributed Computing,
    Vienna, Austria, August 24-28, 2015, Proceedings
    Lecture Notes in Computer Science, pp.588-600, Vol.9233, Springer Berlin Heidelberg
    ISBN 978-3-662-48095-3, ISSN 0302-9743
    DOI-Link Abstract

  8. I.A. Papistas, V.F. Pavlidis
    Bandwidth-to-area comparison of through silicon vias and inductive links for 3-D ICs
    2015 European Conference on Circuit Theory and Design (ECCTD), pp.1-4, Trondheim, 24-26 Aug. 2015
    ISBN ********, ISSN ********
    DOI-Link Abstract IEEE Copyright

  9. A. Erickson, A. Kiasari, J. Navaridas, I.A. Stewart
    Routing Algorithms for Recursively-Defined Data Centre Networks
    Trustcom/BigDataSE/ISPA, 2015 IEEE , vol.3, no., pp.84-91, Helsinki, 20-22 Aug. 2015
    ISBN ********, ISSN ********
    DOI-Link Abstract IEEE Copyright

  10. A. Mundy, J. Knight, T. Stewart and S. Furber
    An efficient SpiNNaker implementation of the Neural Engineering Framework
    IJCNN 2015 International Joint Conference on Neural Networks
    Kilarney, 12-17 July 2015
    ISBN ********, ISSN 2161-4393
    DOI-Link Abstract IEEE Copyright

  11. Evangelos Stromatias, Daniel Neil, Francesco Galluppi, Michael Pfeiffer, Shih-Chii Liu and Steve Furber
    Scalable Energy-Efficient, Low-Latency Implementations of Spiking Deep Belief Networks on SpiNNaker
    2015 International Joint Conference on Neural Networks (IJCNN)
    Kilarney, 12-17 July 2015
    ISBN ********, ISSN 2161-4393
    DOI-Link Abstract IEEE Copyright

  12. Andrew D. Brown, Rob Mills, Kier James Dugan, Jeff S. Reeve, Steve B. Furber
    Reliable computation with unreliable computers
    IET Computers & Digital Techniques, pp. 230-7, July 2015
    ISSN 1751-861X
    DOI-Link Abstract

  13. Stromatias Evangelos, Neil Daniel, Pfeiffer Michael, Galluppi Francesco, Furber Steve B, Liu Shih-Chii
    Robustness of spiking Deep Belief Networks to noise and reduced bit precision of neuro-inspired hardware platforms
    Frontiers in Neuroscience,VOLUME 9, 9 July 2015, No.00222
    ISSN 1662-453X
    DOI-Link Abstract

  14. Gengting Liu, P. Camilleri, S. Furber, J. Garside
    Network traffic exploration on a many-core computing platform: SpiNNaker real-time traffic visualiser
    2015 11th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME); June 29 2015-July 2 2015, Glasgow, U.K. pp: 228-231
    ISBN ********, ISSN ********
    DOI-Link Abstract IEEE Copyright

  15. Xavier Lagorce, Evangelos Stromatias, Francesco Galluppi, Luis A. Plana, Shih-Chii Liu, Steve B Furber, Ryad Benjamin Benosman
    Breaking The Millisecond Barrier On SpiNNaker: Implementing Asynchronous Event-Based Plastic Models With Microsecond Resolution
    Frontiers in Neuroscience, section Neuromorphic Engineering, Vol: 9, No. 206 08 June 2015
    ISSN 1662-453X ISSN: 1662-4548
    DOI-Link Abstract

  16. Evangelos Stromatias, Daniel Neil, Francesco Galluppi, Michael Pfeiffer, Shih-Chii Liu and Steve Furber
    Live Demonstration: Handwritten Digit Recognition Using Spiking Deep Belief Networks on SpiNNaker
    2015 IEEE International Symposium on ISCAS2015 - Circuits and Systems (ISCAS), 24-27 May 2015, Lisbon
    ISBN ********, ISSN 0271-4302
    DOI-Link Abstract

  17. Luigi Nardi, Bruno Bodin, M. Zeeshan Zia, John Mawer, Andy Nisbet, Paul H.J. Kelly, Andrew J. Davison, Mikel Lujan, F.P. O'Boyle, Graham D. Riley, Nigel Topham and Stephen Furber
    Introducing SLAMBench, a performance and accuracy benchmarking methodology for SLAM<\br> 2015 IEEE International Conference on Robotics and Automation (ICRA), pp. 5783-5790, May 2015, ISSN: 1050-4729
    DOI-Link

  18. Garrick Orchard, Xavier Lagorce, Christoph Posch, Stephen Furber, Ryad Benosman and Francesco Galluppi
    Real-time event-driven spiking neural network object recognition on the SpiNNaker platform
    IEEE International Symposium on Circuits and Systems, 24-27 May 2015, ISBN: 9781479983919
    DOI-Link

  19. Garrick Orchard, Xavier Lagorce, Christoph Posch, Stephen Furber, Ryad Benosman and Francesco Galluppi
    Live demonstration: Real-time event-driven object recognition on SpiNNaker
    IEEE International Symposium on Circuits and Systems, 24-27 May 2015, p. 1903, ISBN: 9781479983919
    DOI-Link

  20. Teresa Serrano-Gotarredona, Bernabé Linares-Barranco, Francesco Galluppi, Luis A. Plana and Steve Furber
    ConvNets Experiments on SpiNNaker
    Proc. of the 2015 International Symposium on Circuits and Systems (ISCAS 2015) Lisbon, Portugal, 24-27 May 2015
    ISBN ********, ISSN 0271-4302
    DOI-Link Abstract IEEE Copyright

  21. Guangda Zhang, Jim Garside, Wei Song, Javier Navaridas and Zhiying Wang
    Deadlock Recovery in Asynchronous Networks on Chip in the Presence of Transient Faults
    The International Symposium on Asynchronous Circuits and Systems (ASYNC 2015), pp 100-107
    Mountain View, Silicon Valley, California, US, May 4-6, 2015
    ISSN 1522-8681
    DOI-Link Abstract IEEE Copyright

  22. Mohsen Ghasempour, Jonathan Heathcote, Javier Navaridas, Luis A. Plana, Jim Garside and Mikel Luján
    Accelerating Interconnect Analysis using High-Level HDLs and FPGA SpiNNaker as a Case Study
    Proceedings 23rd IEEE International Symposium on Field-Programmable Custom Computing Machines,
    May 3-5, Vancouver, British Columbia, Canada
    ISBN ********, ISSN ********
    DOI-Link Abstract IEEE Copyright

  23. Mahdi Jelodari Mamaghani, Jim Garside, Doug Edwards
    De-Elastisation: From Asynchronous Dataflows to Synchronous Circuits
    Proc. of IEEE/ACM Conference on Design, Automation and Test in Europe (DATE), pp. 273 - 276, Grenoble, France. March 2015
    ISBN 978-3-9815-3704-8 ISSN 1530-1591
    DOI-Link Abstract IEEE Copyright

  24. D.R. Mendat, Sang Chin, S. Furber and A.G. Andreou
    Markov Chain Monte Carlo inference on graphical models using event-based processing on the SpiNNaker neuromorphic architecture
    49th Annual Conference on Information Sciences and Systems (CISS)
    18-20 March 2015, pp. 1-6
    ISBN: 978-1-4799-8428-2
    DOI-Link

  25. M. Grimmer, C. Seaton, T. Wurthinger, H. Mossenbock
    Dynamically Composing Languages in a Modular Way: Supporting C Extensions for Dynamic Languages.
    Proceedings of the 14th International Conference on Modularity, 16-19 March 2015
    ISBN 978-1-4503-3249-1, ISSN ********
    DOI-Link Abstract

  26. Francesco Galluppi, Xavier Lagorce, Evangelos Stromatias, Michael Pfeiffer, Luis A. Plana
    Steve B. Furber, Ryad Benjamin Benosman
    A framework for plasticity implementation on the SpiNNaker neural architecture
    Frontiers in Neuroscience, Volume 8, No. 00429, January, 2015
    ISSN 1662-453X
    DOI-Link URL Abstract

  27. Javier Navaridas, Mikel Luján, Luis A. Plana, Steve Temple, Steve B. Furber
    SpiNNaker: Enhanced multicast routing
    Parallel Computing, Available online 16 January 2015,
    (http://www.sciencedirect.com/science/article/pii/S0167819115000095)
    ISSN 0167-8191
    DOI-Link Abstract

  28. Martin Kong, Antoniu Pop, Louis-Noel Pouchet, R. Govindarajan, Albert Cohen and P. Sadayappan
    Compiler/Runtime Framework for Dynamic Dataflow Parallelization of Tiled Programs
    ACM Trans. Archit. Code Optim.(TACO) vol. 11, No.4, Jan,2015
    ISSN 1544-3566
    DOI-Link Abstract

2014 papers
2013 papers
2012 papers
2011 papers
2010 papers
2009 papers
2008 papers
2007 papers
2006 papers
Pre-2006 papers