Advanced Processor Technologies Home
APT Advanced Processor Technologies Research Group

The Advanced Processor Technologies Research Group


SpiNNaker Overview

APT Group Papers

2016

  1. Alejandro Erickson, Abbas Kiasari,Javier Navaridas, Iain Stewart
    An Optimal Single-Path Routing Algorithm in the Datacenter Network DPillar
    Accepted for: IEEE Transactions on Parallel and Distributed Systems, Volume: PP, Issue: 99
    Page(s): 1 - 1 Date of Publication: 13 July 2016 ISSN: 1045-9219
    DOI-Link

  2. Maciej Labecki, Rafal Kus, Alicja Brzozowska, Tadeusz Stacewicz, Basabdatta S. Bhattacharya and Piotr Suffczynski
    Nonlinear Origin of SSVEP Spectra - A Combined Experimental and Modeling Study
    Front. Comput. Neurosci., 27 December 2016
    DOI-Link

  3. Qian Liu, Garibaldi Pineda-García, Evangelos Stromatias, Teresa Serrano-Gotarredona, Steve B. Furber
    Benchmarking Spike-Based Visual Recognition: A Dataset and Evaluation
    Frontiers in Neuroscience, Vol.10, 2016
    ISSN 1662-453X
    DOI-Link Abstract

  4. Bhattacharya, Basabdatta Sen and Bond, Thomas P. and O'Hare, Louise
    and Turner, Daniel and Durrant, Simon J.
    Causal Role of Thalamic Interneurons in Brain State Transitions: A Study Using a Neural Mass Model Implementing Synaptic Kinetics
    Frontiers in Computational Neuroscience, Vol.10, pp. 115, 2016.
    ISSN 1662-5188
    DOI-Link Abstract

  5. Indar Sugiarto, Gengting Liu, Simon Davidson, Luis A. Plana and Steve B. Furber
    High Performance Computing on SpiNNaker Neuromorphic Platform: a Case Study for Energy Efficient Image Processing
    35th IEEE International Performance Computing and Communications Conference (IPCCC 2016)
    Las Vegas, Nevada, USA, December 9th-11th 2016

  6. Bartolozzi, C. and Benosman, R. and Boahen, K. and Cauwenberghs, G. and Delbrück, Tobi and Indiveri, Giacomo and Liu, Shih-Chii and Furber, S. and Imam, N. and Linares-Barranco, Bernabé and Serrano-Gotarredona, Teresa and Meier, K. and Posch, C. and Valle, M.
    Neuromorphic Systems
    Wiley Encyclopedia of Electrical and Electronics Engineering, Nov. 2016
    ISBN 9780471346081, ISSN
    DOI-Link Abstract

  7. Qian Liu, Steve Furber
    Noisy Softplus: A Biology Inspired Activation Function", Neural Information Processing: 23rd International Conference, ICONIP 2016
    Kyoto, Japan, October 16-21, 2016, Proceedings, Part IV, pp. 405-412
    Springer International Publishing; ISBN: 978-3-319-46681-1
    DOI-Link

  8. Mohsen Ghasempour, Aamer Jaleel , James Garside, Mikel Luján
    HAPPY: Hybrid Address-based Page Policy in DRAMs
    MEMSYS 2016 Washington, DC, USA 3-6 Oct. 2016
    ISBN: 978-1-4503-4305-3

  9. Mohsen Ghasempour, James Garside, Aamer Jaleel, Mikel Luján
    DReAM: Dynamic Re-arrangement of Address Mapping to Improve the Performance of DRAMs
    MEMSYS 2016 Washington, DC, USA 3-6 Oct. 2016
    ISBN: 978-1-4503-4305-3

  10. A. Drebes, A. Pop, K. Heydemann, A. Cohen, and N. Drach
    Scalable Task Parallelism for NUMA: A Uniform Abstraction for Coordinated Scheduling and Memory Management
    Proceedings of the 25th IEEE International Conference on Parallel Architecture and Compilation Techniques (PACT)
    Haifa, Israel, 11-15 Sept. 2016
    ISBN: 978-1-4503-4121-9
    DOI-Link
    ** Best Paper Award **

  11. Yaman Çakmakçi, Will Toms, Javier Navaridas, Mikel Luján
    Cyclic Power-Gating as an Alternative to Voltage and Frequency Scaling
    IEEE Computer Architecture Letters Volume: 15, Issue: 2, July-Dec. 2016
    Pp. 77-80
    Date of Publication: 14 September 2015
    Print ISSN: 1556-6056
    DOI-Link

  12. Knight, James C. and Furber, Steve B.
    Synapse-Centric Mapping of Cortical Models to the SpiNNaker Neuromorphic Architecture
    Frontiers in Neuroscience, vol.10, p.420, 14 September 2016
    ISSN 1662-453X
    DOI-Link Abstract

  13. Stephen Furber
    Bio-inspired massively-parallel computation
    Advances in Parallel Computing
    vol. 27: Parallel Computing: On the Road to Exascale, 2016, pp. 3-10, Elsevier, ISBN: 9781614996200
    DOI-Link

  14. Stephen Furber
    The SpiNNaker project
    Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)
    Springer-Verlag, London, vol. 9726, 2016, ISBN: 9783319413112

  15. Andi Drebes, Jean-Baptiste Bréjon, Antoniu Pop, Karine Heydemann, Albert Cohen
    Language-Centric Performance Analysis of OpenMP Programs with Aftermath, pp. 237-250
    Twelfth International Workshop on OpenMP (IWOMP), 21 September 2016
    Nara, Japan
    DOI-Link

  16. Elham Zareian, Jun Chen, Basabdatta Sen Bhattacharya
    A Robust Evolutionary Optimisation Approach for Parameterising a Neural Mass Model
    International Conference on Artificial Neural Networks, pp. 225-234
    Barcelona, Spain, 6-9 September, 2016
    DOI-Link

  17. M. Vesper, D. Koch, K. Vipin and S. A. Fahmy
    JetStream: An open-source high-performance PCI Express 3 streaming library for FPGA-to-Host and FPGA-to-FPGA communication
    26th International Conference on Field Programmable Logic and Applications (FPL), pp.1-9
    Lausanne, Switzerland, 29 August - 2 September 2016
    DOI-Link

  18. M. Katevenis, N. Chrysos, M. Marazakis, I. Mavroidis, F. Chaix, N. Kallimanis, J. Navaridas, J. Goodacre, P. Vicini, A. Biagioni, P. S. Paolucci, A. Lonardo, E. Pastorelli, F. Lo Cicero, R. Ammendola, P. Hopton, P. Coates, G. Taffoni, S. Cozzini, M. Kersten, Y. Zhang, J. Sahuquillo, S. Lechago, C. Pinto, B. Lietzow, D. Everett, G. Perna
    The ExaNeSt Project: Interconnects, Storage, and Packaging for Exascale Systems
    Proc. 2016 Euromicro Conference on Digital System Design (DSD)
    Limassol, Cyprus, 31 Aug.-2 Sept. 2016
    DOI-Link

  19. A. Yousefzadeh, L.A. Plana, S. Temple, T. Serrano-Gotarredona, S.B. Furber and B. Linares-Barranco
    Fast Predictive Handshaking in Synchronous FPGAs for Fully Asynchronous Multisymbol Chip Links: Application to SpiNNaker 2-of-7 Links
    IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 63, no. 8, pp. 763-767, Aug. 2016.
    ISSN 1549-7747
    DOI-Link Abstract

  20. Stephen Furber
    Large-Scale Neuromorphic Computing Systems
    Journal of Neural Engineering, July 2016, ISSN: 1741-2560

  21. James Knight, Aaron Voelker, Andrew Mundy, Chris Eliasmith, Steve Furber
    Efficient SpiNNaker simulation of a heteroassociative memory using the Neural Engineering Framework
    Proc. IJCNN 2016
    Vancouver, Canada, July 24-29, 2016, ISSN: 2161-4407
    DOI-Link

  22. H. Kalargaris, J. Goodacre, V.F. Pavlidis
    Advanced Circuit Interface for Systems with Multiple Voltage Domains
    Proceedings of the IEEE International Ph.D. Research in Microelectronics and Electronics Conference (PRIME)
    27-30 June 2016
    Lisbon, Portugal
    DOI-Link

  23. Mahdi Jelodari Mamaghani, Danil Sokolov and Jim Garside
    Asynchronous Dataflow De-Elastisation For Efficient Heterogeneous Synthesis
    Proc. 16th International Conference on Application of Concurrency to System Design (ACSD)
    Toruń, Poland, June 22-24 2016.
    (DOI-Link pending)

  24. Andrew Mundy, Jonathan Heathcote, Jim Garside
    On-chip Order-Exploiting Routing Table Minimization for a Multicast Supercomputer Network
    Proc. IEEE 17th International Conference on High Performance Switching and Routing (HPSR)
    Yokohama, Japan, June 14-17, 2016.
    DOI-Link Abstract
    ** Best Paper Award **

  25. Ioannis A. Papistas and Vasilis F. Pavlidis
    Crosstalk Noise Effects of On-Chip Inductive Links on Power Delivery Networks
    Proceedings of the IEEE/ACM International Conference on Circuits and Systems (ISCAS),
    Pp. 1938-1941, Montreal, Canada, 22-25 May 2016
    DOI-Link

  26. Ioannis A. Papistas and Vasilis F. Pavlidis
    Inter-Tier Crosstalk Noise on Power Delivery Networks for 3-D ICs with Inductively-Coupled Interconnects
    Proceedings of the ACM International Symposium on VLSI (GLSVLSI)
    Pp. 257-262, Boston, MA, USA, 18-20 May 2016
    DOI-Link

  27. Mahdi Jelodari Mamaghani, Milos Krstic, Jim Garside
    Automatic Clock: A Promising Approach Toward GALSification
    Proceedings of ASYNC 2016, May 8-11, Porto Alegre, Brazil
    DOI-Link

  28. W. Song, D. Koch, M. Luján and J. Garside
    Parallel Hardware Merge Sorter
    Proceedings - 24th IEEE International Symposium on Field-Programmable Custom Computing Machines, FCCM 2016, pp. 95-102.
    1-3 May 2016
    DOI-Link

  29. Andi Drebes, Antoniu Pop, Karine Heydemann, Albert Cohen
    Interactive Visualization of Cross-Layer Performance Anomalies in Dynamic Task-Parallel Applications and Systems
    2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)
    17-19 April 2016. Uppsala, Sweden
    DOI-Link

  30. Amanieu D'antras, Cosmin Gorgovan, Jim Garside and Mikel Luján
    Optimizing Indirect Branches in Dynamic Binary Translators
    ACM Trans. Archit. Code Optim. 13, 1, Article 7 (April 2016), pp. 7:1--7:25
    ISSN 1544-3566
    DOI-Link Abstract

  31. Cosmin Gorgovan, Amanieu D'antras, and Mikel Luján
    MAMBO: A Low-Overhead Dynamic Binary Modification Tool for ARM
    ACM Trans. Archit. Code Optim. 13, 1, Article 14 (April 2016), 26 pages.
    ISSN1544-3566
    DOI-Link Abstract

  32. James Courtney Knight, Philip Joseph Tully, Bernhard A. Kaplan, Anders Lansner, Steve B. Furber
    Large-scale simulations of plastic neural networks on neuromorphic hardware
    Frontiers in Neuroanatomy, Vol.10, No. 37, 7 April 2016.
    ISSN 1662-5129
    DOI-Link Abstract **Faculty World-Leading Papers**

  33. Sebastian Werner, Javier Navaridas, Mikel Luján
    A Survey on Design Approaches to Circumvent Permanent Faults in Networks-on-Chip
    ACM Computing Surveys (CSUR) vol.48, No.4, Mar 2016, pp. 59:1--59:36.
    ISSN 0360-0300
    DOI-Link Abstract

  34. D.R. Mendat, S. Chin, S. Furber and A.G. Andreou
    Neuromorphic sampling on the SpiNNaker and Parallella chip multiprocessors
    IEEE 7th Latin American Symposium on Circuits Systems (LASCAS)}, 28 Feb.- 2 March 2016, pp. 399-402
    DOI-Link

  35. Terrence C. Stewart, Ashley Kleinhans, Andrew Mundy and Jörg Conradt
    Serendipitous Offline Learning in a Neuromorphic Robot
    Frontiers in Neurorobotics
    February 15, 2016.
    DOI-Link

  36. Steve B. Furber
    Brain-inspired computing
    IET Computers & Digital Techniques, 28 Jan. 2016
    ISSN1751-8601
    DOI-Link Abstract

2015 papers
2014 papers
2013 papers
2012 papers
2011 papers
2010 papers
2009 papers
2008 papers
2007 papers
2006 papers
Pre-2006 papers